Eliyan Raises $60M for Chiplet Interconnect Tech

0 0
Read Time:2 Minute

Eliyan Secures $60 Million Funding for Chiplet Interconnect Technology

Eliyan has successfully raised $60 million in funding for its innovative chiplet interconnect technology aimed at boosting the processing speed for AI chips. The funding round was led by Samsung Catalyst Fund and Tiger Global Management to support the team in overcoming the challenges associated with generative AI chips development.

Driven by the increasing demand for AI chips, experts in the industry project a significant growth in the high-bandwidth memory (HBM) sector. Market researcher Arete Research estimates a remarkable 331% increase in 2024, followed by 124% in 2025.

Eliyan’s Advanced Solutions

Eliyan’s UCIe-, BoW-, or UMI-compliant PHY (NuLink PHY) effectively addresses memory and IO wall constraints in both advanced and standard packaging materials. A PHY serves as the physical layer of the OSI model, connecting link layer devices to physical mediums like optical fibers or copper cables. NuLink PHY is now being implemented in multi-chip solutions, enabling chip makers to connect multiple chiplets within the same device.

The chiplet interconnect technology developed by Eliyan offers up to four times the performance along with half the power consumption compared to other existing solutions.

With NuLink PHY proven on advanced process nodes, it caters to Die-to-Die and Die-to-Memory interconnect requirements, providing highly efficient performance metrics.

Investment and Expansion

In addition to Samsung Catalyst Fund and Tiger Global Management, existing investors such as Intel Capital, SK Hynix, Cleveland Avenue, and Mesh Ventures also participated in this notable funding round. This latest investment builds upon the $40 million Series A round secured by Eliyan in 2022.

The company plans to utilize the funds to address the critical challenges associated with designing and manufacturing advanced AI chips utilizing multi-die architectures on advanced packaging or standard organic substrates.

Eliyan’s chiplet interconnect technology empowers chip manufacturers to achieve enhanced levels of performance and power efficiency, setting new benchmarks in the industry.

Revolutionizing Chiplet Connectivity

Furthermore, Eliyan introduces the Universal Memory Interface (UMI) to tackle memory capacity and bandwidth limitations in AI chips. UMI, a bi-directional interconnect approach, targets the memory wall challenge faced by large multi-die designs.

The company’s innovative solutions in die-to-die interconnect and memory interfaces are poised to revolutionize chiplet connectivity technology, meeting the evolving demands of intensive workloads and cutting-edge applications in the AI and automotive sectors.

Overall, Eliyan’s significant advancements in chiplet technology showcase its commitment to driving innovation and efficiency in semiconductor design, paving the way for the new AI era.

Image/Photo credit: source url

About Post Author

Chris Jones

Hey there! 👋 I'm Chris, 34 yo from Toronto (CA), I'm a journalist with a PhD in journalism and mass communication. For 5 years, I worked for some local publications as an envoy and reporter. Today, I work as 'content publisher' for InformOverload. 📰🌐 Passionate about global news, I cover a wide range of topics including technology, business, healthcare, sports, finance, and more. If you want to know more or interact with me, visit my social channels, or send me a message.
Happy
Happy
0 %
Sad
Sad
0 %
Excited
Excited
0 %
Sleepy
Sleepy
0 %
Angry
Angry
0 %
Surprise
Surprise
0 %